ΠŸΠΎΠΌΠΎΡ‰ΡŒ Π² ΡƒΡ‡Ρ‘Π±Π΅, ΠΎΡ‡Π΅Π½ΡŒ быстро...
Π Π°Π±ΠΎΡ‚Π°Π΅ΠΌ вмСстС Π΄ΠΎ ΠΏΠΎΠ±Π΅Π΄Ρ‹

ΠœΠ΅Π½Π΅Π΄ΠΆΠ΅Ρ€ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊ (Library Manager)

Π Π΅Ρ„Π΅Ρ€Π°Ρ‚ΠŸΠΎΠΌΠΎΡ‰ΡŒ Π² Π½Π°ΠΏΠΈΡΠ°Π½ΠΈΠΈΠ£Π·Π½Π°Ρ‚ΡŒ ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒΠΌΠΎΠ΅ΠΉ Ρ€Π°Π±ΠΎΡ‚Ρ‹

Π’Ρ‹Ρ…ΠΎΠ΄Π½ΠΎΠΉ язык — ΡƒΠΊΠ°Π·Ρ‹Π²Π°Π΅Ρ‚ Π½Π° ΡΠ·Ρ‹ΠΊ исходного ΠΊΠΎΠ΄Π°, ΠΎΡ‚ ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠ³ΠΎ Π±Ρ‹Π» ΡƒΡΠΏΠ΅ΡˆΠ½ΠΎ скомпилирован ΠΌΠΎΠ΄ΡƒΠ»ΡŒ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ. Если исходный Ρ‚ΠΈΠΏ — ΠΈΠ»ΠΈ Π‘Π»ΠΎΠΊ-схСма ΠΈΠ»ΠΈ Π”ΠΈΠ°Π³Ρ€Π°ΠΌΠΌΠ° состояний, ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ язык, Π²Ρ‹Π²Π΅Π΄Π΅Π½Π½Ρ‹ΠΉ Π½Π° ΡΠΊΡ€Π°Π½ здСсь, Ρ‚ΠΎ ΠΎΠ½ ΡΠ²Π»ΡΠ΅Ρ‚ся языком исходного ΠΊΠΎΠ΄Π°, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ Π±Ρ‹Π» сгСнСрирован ΠΈΠ· Ρ„Π°ΠΉΠ»Π° схСмы. ДоступныС Π²Ρ‹Ρ…ΠΎΠ΄Π½Ρ‹Π΅ языки — VHDL, Verilog, ΠΈ EDIF; Π”Π°Π½Π½Ρ‹Π΅ модСлирования — ΡƒΠΊΠ°Π·Ρ‹Π²Π°ΡŽΡ‚, Π΅ΡΡ‚ΡŒ Π»ΠΈ Ρƒ ΠΌΠΎΠ΄ΡƒΠ»Ρ… Π§ΠΈΡ‚Π°Ρ‚ΡŒ Π΅Ρ‰Ρ‘ >

ΠœΠ΅Π½Π΅Π΄ΠΆΠ΅Ρ€ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊ (Library Manager) (Ρ€Π΅Ρ„Π΅Ρ€Π°Ρ‚, курсовая, Π΄ΠΈΠΏΠ»ΠΎΠΌ, ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»ΡŒΠ½Π°Ρ)

ΠœΠ΅Π½Π΅Π΄ΠΆΠ΅Ρ€ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊ Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚Π°Π½ для Ρ‚ΠΎΠ³ΠΎ, Ρ‡Ρ‚ΠΎΠ±Ρ‹ ΠΏΠΎΠ·Π²ΠΎΠ»ΠΈΡ‚ΡŒ ΡƒΠΏΡ€Π°Π²Π»ΡΡ‚ΡŒ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠ°ΠΌΠΈ Active-HDL. Π’ΠΎΠ·ΠΌΠΎΠΆΠ½Ρ‹ ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠ΅ ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΈ Π½Π° Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠ°Ρ… ΠΈ ΠΈΡ… ΡΠΎΠ΄Π΅Ρ€ΠΆΠΈΠΌΠΎΠΌ:

  • Β· Π‘ΠΎΠ·Π΄Π°Π½ΠΈΠ΅ Π½ΠΎΠ²Ρ‹Ρ… Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊ ΠΈ Π½Π°ΡΡ‚Ρ€ΠΎΠΉΠΊΠ° ΠΈΡ… Ρ€Π°Π±ΠΎΡ‡Π΅Π³ΠΎ Ρ€Π΅ΠΆΠΈΠΌΠ°.
  • Β· ΠŸΡ€ΠΈΡΠΎΠ΅Π΄ΠΈΠ½Π΅Π½ΠΈΠ΅, отсоСдинСниС ΠΈ ΡƒΠ΄Π°Π»Π΅Π½ΠΈΠ΅ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊ.
  • Β· Π Π΅Π΄Π°ΠΊΡ‚ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ логичСских ΠΈΠΌΠ΅Π½ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊ.
  • Β· Π£ΠΏΠ»ΠΎΡ‚Π½Π΅Π½ΠΈΠ΅ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊ.
  • Β· ΠŸΡ€ΠΎΡΠΌΠΎΡ‚Ρ€ содСрТания Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊ.
  • Β· ΠŸΡ€ΠΎΡΠΌΠΎΡ‚Ρ€ исходных Ρ„Π°ΠΉΠ»ΠΎΠ² ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Π½Ρ‹Ρ… ΠΌΠΎΠ΄ΡƒΠ»Π΅ΠΉ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ.
  • Β· Π£Π΄Π°Π»Π΅Π½ΠΈΠ΅ ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Π½Ρ‹Ρ… ΠΌΠΎΠ΄ΡƒΠ»Π΅ΠΉ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ.

ΠžΠ±Ρ‰ΠΈΠΉ Π²ΠΈΠ΄ ΠΎΠΊΠ½Π° Library Manager: прСдставлСн Π½ΠΈΠΆΠ΅.

Окно ΠΌΠ΅Π½Π΅Π΄ΠΆΠ΅Ρ€Π° Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊ содСрТит Π΄Π²Π΅ ΠΏΠ°Π½Π΅Π»ΠΈ. ЛСвая панСль содСрТит список Π² Π½Π°ΡΡ‚оящий ΠΌΠΎΠΌΠ΅Π½Ρ‚ присоСдинСнных Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊ ΠΈ ΠΈΡ… ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ². Π£ ΡΡ‚ΠΎΠΉ ΠΏΠ°Π½Π΅Π»ΠΈ Π΅ΡΡ‚ΡŒ Ρ‡Π΅Ρ‚Ρ‹Ρ€Π΅ столбца:

  • Β· Π‘ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠ° — Π²Ρ‹Π²ΠΎΠ΄ΠΈΡ‚ Π½Π° ΡΠΊΡ€Π°Π½ логичСскоС имя Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ;
  • Β· Π Π΅ΠΆΠΈΠΌ — Π²Ρ‹Π²ΠΎΠ΄ΠΈΡ‚ Π½Π° ΡΠΊΡ€Π°Π½ Ρ€Π°Π±ΠΎΡ‡ΠΈΠΉ Ρ€Π΅ΠΆΠΈΠΌ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ. Π•ΡΡ‚ΡŒ Π΄Π²Π° Ρ€Π΅ΠΆΠΈΠΌΠ°:
  • Β· Π§Ρ‚Π΅Π½ΠΈΠ΅-запись (R/W) ΠΈ Π’олько-Π§Ρ‚Π΅Π½ΠΈΠ΅ (R/O));
  • Β· ΠšΠΎΠΌΠΌΠ΅Π½Ρ‚Π°Ρ€ΠΈΠΉ — Π²Ρ‹Π²ΠΎΠ΄ΠΈΡ‚ Π½Π° ΡΠΊΡ€Π°Π½ Π΄ΠΎΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹ΠΉ ΠΊΠΎΠΌΠΌΠ΅Π½Ρ‚Π°Ρ€ΠΈΠΉ, ΠΎΠ±Π΅ΡΠΏΠ΅Ρ‡ΠΈΠ²Π°ΡŽΡ‰ΠΈΠΉ ΠΊΡ€Π°Ρ‚ΠΊΠΎΠ΅ описаниС содСрТания Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ;
  • Β· ΠšΠ°Ρ‚Π°Π»ΠΎΠ³ — Π²Ρ‹Π²ΠΎΠ΄ΠΈΡ‚ Π½Π° ΡΠΊΡ€Π°Π½ индСксный Ρ„Π°ΠΉΠ» Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ с ΠΏΠΎΠ»Π½Ρ‹ΠΌ ΠΏΡƒΡ‚Π΅ΠΌ ΠΊ Π½Π΅ΠΌΡƒ;

Π‘Ρ‚Π°Π½Π΄Π°Ρ€Ρ‚Π½Ρ‹Π΅ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ ΠΏΡ€ΠΈΡΠΎΠ΅Π΄ΠΈΠ½ΡΡŽΡ‚ΡΡ ΠΊ ΡΠΏΠΈΡΠΊΡƒ Π²ΠΎ Π²Ρ€Π΅ΠΌΡ установки. Когда создаСтся новая Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠ°, ΠΎΠ½Π° Ρ‚Π°ΠΊΠΆΠ΅ автоматичСски присоСдиняСтся ΠΊ ΡΠΏΠΈΡΠΊΡƒ. Π Π°Π±ΠΎΡ‡ΠΈΠ΅ значСния (Π·Π°Π΄Π°Π½Π½Ρ‹Π΅ ΠΏΠΎ ΡƒΠΌΠΎΠ»Ρ‡Π°Π½ΠΈΡŽ) Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ окаТутся Π² ΡΠΏΠΈΡΠΊΠ΅ Π² Ρ‚ΠΎΡ‚ ΠΌΠΎΠΌΠ΅Π½Ρ‚, ΠΊΠΎΠ³Π΄Π° Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚Ρ‡ΠΈΠΊ ΠΎΡ‚ΠΊΡ€ΠΎΠ΅Ρ‚ ΡΠΎΠΎΡ‚Π²Π΅Ρ‚ΡΡ‚Π²ΡƒΡŽΡ‰ΠΈΠ΅ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Ρ‹.

Если Ρ„Π°ΠΉΠ» Π±Ρ‹Π» Π²Ρ‹Π±Ρ€Π°Π½ Π² Π»Π΅Π²ΠΎΠΉ части ΠΎΠΊΠ½Π°, Ρ‚ΠΎ ΠΎΠ½ Π±ΡƒΠ΄Π΅Ρ‚ автоматичСски Π²Ρ‹Π΄Π΅Π»Π΅Π½ ΠΈ Π² ΠΏΡ€Π°Π²ΠΎΠΉ ΠΏΠ°Π½Π΅Π»ΠΈ. ΠŸΡ€Π°Π²Π°Ρ панСль, ΠΊΡ€ΠΎΠΌΠ΅ этого, Ρ€Π°Π·Π΄Π΅Π»Π΅Π½Π° Π½Π° Π΄Π²Π΅ части Π³ΠΎΡ€ΠΈΠ·ΠΎΠ½Ρ‚Π°Π»ΡŒΠ½ΠΎΠΉ Π»ΠΈΠ½ΠΈΠ΅ΠΉ. ΠŸΠΎΡΡ‚ΠΎΠΌΡƒ Ссли Π²Ρ‹Π±Ρ€Π°Ρ‚ΡŒ ΠΏΠ°ΠΊΠ΅Ρ‚, Ρ‚ΠΎ ΡΠΏΠΈΡΠΎΠΊ ΠΎΠ±ΡŠΠ΅ΠΊΡ‚ΠΎΠ², ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Π½Ρ‹Ρ… Π² Π½Π΅ΠΌ, Π±ΡƒΠ΄Π΅Ρ‚ Π²Ρ‹Π²Π΅Π΄Π΅Π½ Π½Π° Π½ΠΈΠΆΠ½ΠΈΠΉ экран. ΠŸΡ€Π°Π²Π°Ρ панСль содСрТит ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠ΅ столбцы:

  • § Имя модуля — Π²Ρ‹Π²ΠΎΠ΄ΠΈΡ‚ Π½Π° ΡΠΊΡ€Π°Π½ основныС ΠΌΠΎΠ΄ΡƒΠ»ΠΈ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ, ΡΠΎΠ΄Π΅Ρ€ΠΆΠ°Π²ΡˆΠΈΠ΅ΡΡ Π² Π²Ρ‹Π±Ρ€Π°Π½Π½ΠΎΠΉ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠ΅. Напомним, Ρ‡Ρ‚ΠΎ Π‘ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠ° Π±Ρ‹Π»Π° Π²Ρ‹Π±Ρ€Π°Π½Π° Π² Π»Π΅Π²ΠΎΠΉ ΠΏΠ°Π½Π΅Π»ΠΈ ΠΎΠΊΠ½Π°;
  • § Π’Ρ‚ΠΎΡ€ΠΈΡ‡Π½ΠΎΠ΅ Имя ΠœΠΎΠ΄ΡƒΠ»Ρ — Π²Ρ‹Π²ΠΎΠ΄ΠΈΡ‚ Π½Π° ΡΠΊΡ€Π°Π½ Π²Ρ‚ΠΎΡ€ΠΈΡ‡Π½Ρ‹Π΅ ΠΌΠΎΠ΄ΡƒΠ»ΠΈ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ, ΡΠΎΠ΄Π΅Ρ€ΠΆΠ°Π²ΡˆΠΈΠ΅ΡΡ Π² Π²Ρ‹Π±Ρ€Π°Π½Π½ΠΎΠΉ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠ΅. ЕдинствСнныС основныС ΠΌΠΎΠ΄ΡƒΠ»ΠΈ, Ρƒ ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… ΠΌΠΎΠ³ΡƒΡ‚ Π±Ρ‹Ρ‚ΡŒ Π²Ρ‚ΠΎΡ€ΠΈΡ‡Π½Ρ‹Π΅ ΠΌΠΎΠ΄ΡƒΠ»ΠΈ, ΡΠ²Π»ΡΡŽΡ‚ΡΡ ΠΎΠ±ΡŠΠ΅ΠΊΡ‚Π°ΠΌΠΈ ΠΈ ΠΏΠ°ΠΊΠ΅Ρ‚Π°ΠΌΠΈ. Для ΠΎΡΡ‚Π°ΡŽΡ‰ΠΈΡ…ΡΡ Ρ‚ΠΈΠΏΠΎΠ² столбСц повторяСт имя, Π²Ρ‹Π²Π΅Π΄Π΅Π½Π½ΠΎΠ΅ Π½Π° ΡΠΊΡ€Π°Π½ Π² ΡΡ‚ΠΎΠ»Π±Ρ†Π΅ Unit Name. Π­Ρ‚ΠΎ логичСски ΠΎΠΏΡ€Π°Π²Π΄Π°Π½ΠΎ, Ρ‚Π΅ΠΌ Ρ‡Ρ‚ΠΎ, ΠΊΠΎΠ³Π΄Π° Π½Π° Ρ‚Π°ΠΊΠΈΠ΅ ΠΌΠΎΠ΄ΡƒΠ»ΠΈ ссылаСтся ΠΊΠΎΠ΄ VHDL Π² ΡΠΌΠ΅ΡˆΠ°Π½Π½Ρ‹Ρ… ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π°Ρ…, Ρƒ Π½ΠΈΡ…, ΠΊΠ°ΠΊ прСдполагаСтся, Π΅ΡΡ‚ΡŒ Π²ΠΈΡ€Ρ‚ΡƒΠ°Π»ΡŒΠ½Ρ‹Π΅ Π²Ρ‚ΠΎΡ€ΠΈΡ‡Π½Ρ‹Π΅ ΠΌΠΎΠ΄ΡƒΠ»ΠΈ с Ρ‚Π΅ΠΌ ΠΆΠ΅ самым ΠΈΠΌΠ΅Π½Π΅ΠΌ;
  • § Π˜ΡΡ…ΠΎΠ΄Π½Ρ‹ΠΉ Π’ΠΈΠΏ — Π²Ρ‹Π²ΠΎΠ΄ΠΈΡ‚ Π½Π° ΡΠΊΡ€Π°Π½ Ρ‚ΠΈΠΏ исходного Π΄ΠΎΠΊΡƒΠΌΠ΅Π½Ρ‚Π°, содСрТащСго описаниС ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Π½ΠΎΠ³ΠΎ модуля Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ. Если основной ΠΌΠΎΠ΄ΡƒΠ»ΡŒ — ΠΎΠ±ΡŠΠ΅ΠΊΡ‚ VHDL, этот столбСц Π²Ρ‹Π²ΠΎΠ΄ΠΈΡ‚ Π½Π° ΡΠΊΡ€Π°Π½ Ρ‚ΠΈΠΏ исходного Ρ„Π°ΠΉΠ»Π°, ΠΎΠΏΠΈΡΡ‹Π²Π°ΡŽΡ‰Π΅Π³ΠΎΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Π½ΡƒΡŽ Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€Ρƒ, Π½Π΅ ΠΎΠ±ΡŠΠ΅ΠΊΡ‚ нСпосрСдствСнно. Π’ ΡΠ»ΡƒΡ‡Π°Π΅ Ссли это Π½Π΅ ΠΌΠΎΠ΄ΡƒΠ»ΠΈ VHDL, информация Π² ΡΡ‚ΠΎΠ»Π±Ρ†Π΅ примСняСтся нСпосрСдствСнно ΠΊ ΠΎΡΠ½ΠΎΠ²Π½ΠΎΠΌΡƒ ΠΌΠΎΠ΄ΡƒΠ»ΡŽ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ. ДоступныС исходныС Ρ‚ΠΈΠΏΡ‹: Π˜ΡΡ…ΠΎΠ΄Π½Ρ‹ΠΉ ΠΊΠΎΠ΄ (Ссли ΠΌΠΎΠ΄ΡƒΠ»ΡŒ описан нСпосрСдствСнно Π² ΠΈΡΡ…ΠΎΠ΄Π½ΠΎΠΌ ΠΊΠΎΠ΄Π΅ VHDL ΠΈΠ»ΠΈ Verilog), Netlist (Ссли ΠΌΠΎΠ΄ΡƒΠ»ΡŒ описан нСпосрСдствСнно EDIF netlist);
  • § Π’Ρ‹Ρ…ΠΎΠ΄Π½ΠΎΠΉ язык — ΡƒΠΊΠ°Π·Ρ‹Π²Π°Π΅Ρ‚ Π½Π° ΡΠ·Ρ‹ΠΊ исходного ΠΊΠΎΠ΄Π°, ΠΎΡ‚ ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠ³ΠΎ Π±Ρ‹Π» ΡƒΡΠΏΠ΅ΡˆΠ½ΠΎ скомпилирован ΠΌΠΎΠ΄ΡƒΠ»ΡŒ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ. Если исходный Ρ‚ΠΈΠΏ — ΠΈΠ»ΠΈ Π‘Π»ΠΎΠΊ-схСма ΠΈΠ»ΠΈ Π”ΠΈΠ°Π³Ρ€Π°ΠΌΠΌΠ° состояний, ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ язык, Π²Ρ‹Π²Π΅Π΄Π΅Π½Π½Ρ‹ΠΉ Π½Π° ΡΠΊΡ€Π°Π½ здСсь, Ρ‚ΠΎ ΠΎΠ½ ΡΠ²Π»ΡΠ΅Ρ‚ся языком исходного ΠΊΠΎΠ΄Π°, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ Π±Ρ‹Π» сгСнСрирован ΠΈΠ· Ρ„Π°ΠΉΠ»Π° схСмы. ДоступныС Π²Ρ‹Ρ…ΠΎΠ΄Π½Ρ‹Π΅ языки — VHDL, Verilog, ΠΈ EDIF;
  • § Π‘ΠΈΠΌΠ²ΠΎΠ» — ΡƒΠΊΠ°Π·Ρ‹Π²Π°Π΅Ρ‚, Π΅ΡΡ‚ΡŒ Π»ΠΈ Ρƒ ΠΎΡΠ½ΠΎΠ²Π½ΠΎΠ³ΠΎ модуля Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ символ Π±Π»ΠΎΠΊ-схСмы Π² Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠ΅. Π­Ρ‚Π° информация примСняСтся Ρ‚ΠΎΠ»ΡŒΠΊΠΎ ΠΊ ΠΎΠ±ΡŠΠ΅ΠΊΡ‚Π°ΠΌ VHDL, модулям Verilog, ΠΈ ΡΡ‡Π΅ΠΉΠΊΠ°ΠΌ EDIF;
  • § Π”Π°Π½Π½Ρ‹Π΅ модСлирования — ΡƒΠΊΠ°Π·Ρ‹Π²Π°ΡŽΡ‚, Π΅ΡΡ‚ΡŒ Π»ΠΈ Ρƒ ΠΌΠΎΠ΄ΡƒΠ»Ρ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ Π΄Π°Π½Π½Ρ‹Π΅ модСлирования ΠΈΠ»ΠΈ, Π½Π΅Ρ‚. Π‘ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ ΠΌΠΎΠ³ΡƒΡ‚ Π²ΠΊΠ»ΡŽΡ‡Π°Ρ‚ΡŒ ΠΌΠΎΠ΄ΡƒΠ»ΠΈ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ, Ρƒ ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… Π½Π΅Ρ‚ Π½ΠΈΠΊΠ°ΠΊΠΈΡ… Π΄Π°Π½Π½Ρ‹Ρ… модСлирования. Если основной ΠΌΠΎΠ΄ΡƒΠ»ΡŒ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ — ΠΎΠ±ΡŠΠ΅ΠΊΡ‚ VHDL, информация Π² ΡΡ‚ΠΎΠ»Π±Ρ†Π΅ примСняСтся ΠΊ ΡΠ²ΠΎΠ΅ΠΉ Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€Π΅, Π° Π½Π΅ ΠΊ ΠΎΠ±ΡŠΠ΅ΠΊΡ‚Ρƒ нСпосрСдствСнно;
Π‘Ρ‚Π°Π½Π΄Π°Ρ€Ρ‚Π½Ρ‹Π΅ ΠΈ ΠΎΠΏΡ€Π΅Π΄Π΅Π»ΡΠ΅ΠΌΡ‹Π΅ ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»Π΅ΠΌ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½Ρ‹ ΡƒΠ½ΠΈΠ²Π΅Ρ€ΡΠ°Π»ΡŒΠ½Ρ‹ΠΌ Π·Π½Π°Ρ‡ΠΊΠΎΠΌ. Π‘ΠΏΠ΅Ρ†ΠΈΡ„ΠΈΡ‡Π½Ρ‹Π΅ ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΡΠΊΠΈΠ΅ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½Ρ‹ ΠΈΡ… ΡΠΎΠ±ΡΡ‚Π²Π΅Π½Π½Ρ‹ΠΌΠΈ ΡƒΠ½ΠΈΠΊΠ°Π»ΡŒΠ½Ρ‹ΠΌΠΈ Π·Π½Π°Ρ‡ΠΊΠ°ΠΌΠΈ.
ΠŸΠΎΠΊΠ°Π·Π°Ρ‚ΡŒ вСсь тСкст
Π—Π°ΠΏΠΎΠ»Π½ΠΈΡ‚ΡŒ Ρ„ΠΎΡ€ΠΌΡƒ Ρ‚Π΅ΠΊΡƒΡ‰Π΅ΠΉ Ρ€Π°Π±ΠΎΡ‚ΠΎΠΉ