Помощь в учёбе, очень быстро...
Работаем вместе до победы

Моделирование и аппроксимация характеристик нелинейных каскадов передатчиков систем радиосвязи

ДиссертацияПомощь в написанииУзнать стоимостьмоей работы

Поэтому решение задачи совершенствования разнообразных систем связи и повышения качества их функционирования непосредственно связано с обеспечением линейных свойств передающих трактов сложного сигнала, и особенно линейности передаточных характеристик усилительных устройств радиочастотного диапазона. Нелинейные СВЧ-устройства, относящиеся к классу нелинейных динамических систем типа «черного… Читать ещё >

Содержание

  • Глава 1. Универсальные методы статистического анализа нелинейных динамических систем
    • 1. 1. Статистические методы анализа нелинейных динамических систем, позволяющие линеаризацию математической модели
    • 1. 2. Методы анализа нелинейных усилительных СВЧ-трактов
    • 1. 3. Спектральный метод с моделированием и аппроксимацией передаточных характеристик различными интерполяционными 35 полиномами и специальными функциями
  • Выводы по главе
  • Глава 2. Теоретические основы исследования широкополосных нелинейных СВЧ-трактов
    • 2. 1. Проблемы моделирования групповых сигналов и нелинейных усилительных устройств со многими несущими
    • 2. 2. Применение функциональных полиномов при исследовании характеристик и параметров нелинейных динамических систем
    • 2. 3. Анализ нелинейных систем методом гармонического баланса
    • 2. 4. Расчет комбинационного спектра и составляющих интермодуляционных искажений с помощью сложных функций
    • 2. 5. Методы линеаризация на основе моделей СВЧ-усилителей мощности по дискретному времени
    • 2. 6. Результаты расчетов нелинейных транзисторных СВЧ-устройств с групповыми широкополосными сигналами
  • Выводы по главе
  • Глава 3. Энергетически эффективные устройства минимизации нелинейных искажений в радиочастотных трактах
    • 3. 1. Методы компенсация помех в усилительных трактах с комплексной нелинейностью
    • 3. 2. Стандартные модели и схемы линеаризаторов передаточных характеристик радиопередающих трактов
    • 3. 3. Моделирование и разработка широкополосных линеаризаторов передаточных характеристик СВЧ-трактов с прямой связью
    • 3. 4. Элементы линеаризаторов с малыми потерями и минимальными фазовыми ошибками
  • Выводы по главе
  • Глава 4. Экспериментальные исследования и оценка надежности транзисторных СВЧ-усилителей мощности
    • 4. 1. Математическое моделирование нелинейных СВЧ-усилителей мощности и систем связи с нелинейными устройствами
    • 4. 2. Комплексные экспериментальные установки
    • 4. 3. Исследование нелинейных СВЧ-усилителей со многими несущими
    • 4. 4. Оценка точности расчета интермодуляционных искажений квазистационарными методами
    • 4. 5. Оценка надежности передающих СВЧ-трактов систем связи
  • Выводы по главе

Моделирование и аппроксимация характеристик нелинейных каскадов передатчиков систем радиосвязи (реферат, курсовая, диплом, контрольная)

Актуальность проблемы. Одной из основных тенденций развития и совершенствования современных систем радиосвязи со многими несущими (систем с многостанционным доступом) является повышение объема и скорости передаваемой информации, а также дальнейшее увеличение числа абонентов. В системах подвижной связи с многостанционным доступом используются сложные по структуре сигналы, как правило, цифровые и со многими несущими (многочастотными или разночастотными групповыми сигналами). Для достижения высоких скоростей передачи и одновременно высокой спектральной, эффективности применяются сложные, комплексные методы модуляции сигнала, которые характеризуются значительными изменениями уровня огибающей сигнала. В то же время необходимость обеспечения высоких энергетических характеристик и, в первую очередь, выходной мощности и КПД передатчика требует вводить нелинейные режимы работы транзисторных радиочастотных усилителей (радиоусилителей, или СВЧ-усилителей) мощности, что создает сложности в реализации их качественных характеристик [1−10].

Поэтому решение задачи совершенствования разнообразных систем связи и повышения качества их функционирования непосредственно связано с обеспечением линейных свойств передающих трактов сложного сигнала, и особенно линейности передаточных характеристик усилительных устройств радиочастотного диапазона. Нелинейные СВЧ-устройства, относящиеся к классу нелинейных динамических систем типа «черного ящика», применяют в системах связи с многостанционным доступом. В настоящее время в качестве усилительных элементов в передатчиках включают биполярные и полевые транзисторы, которые обладают рядом преимуществ перед другими активными элементами. Это малый коэффициент шума, работа на частотах вплоть до 50 ГГц, устойчивость в широком диапазоне частот, технологичность и простота изготовления.

Наличие нелинейных СВЧ-усилителей в передающих трактах систем связи приводит к возникновению интермодуляционных помех (ИМПинтермодуляционных искажений — ИМИ), создающих взаимные помехи во всех радиоканалах и мешающие нормальному функционированию системы радиосвязи. Для обеспечения качественной работы систем связи мощность интермодуляционных искажений в многоканальных системах связи должна быть, как правило, ниже мощности передаваемых сигналов не менее чем на 25.30 дБ. В связи с этим линейность передаточных характеристик выходных нелинейных радиоусилителей мощности являются важными показателями для работы современных систем связи.

Кроме задачи уменьшения уровней различных типов ИМИ существуют и другие исследовательские задачи. Следует выделить проблему электромагнитной совместимости. Она особенно актуальна для приемопередающих трактов станций спутниковой связи, построенных с несколькими преобразованиями частоты. Сигналы гетеродинов могут попадать в тракт сигнала приемника, вводить его оконечные каскады в нелинейный режим. Это опасно тем, что может возникнуть эффект подавления слабого сигнала сильным, при этом ухудшается соотношение сигнал/шум, что приводит к нарушению связи. В передающем тракте наличие сигналов гетеродинов, расположенных в других стволах, будет восприниматься в соседних каналах как мешающая помеха.

Изучению нелинейных явлений в радиочастотных усилителях посвящены труды многих ученых, которыми предложен ряд методов их исследования. Вместе с тем эти методы полностью не решают всех поставленных задач. Причем с увеличением мощности передающих устройств различных систем связи со многими несущими, возрастанием потоков передаваемой информации, уплотнением каналов, а значит с ухудшением электромагнитной обстановки, проблема становится особенно важной.

Решение этой научной задачи определяет актуальность диссертации, связанной с моделированием и линеаризацией передаточных характеристик нелинейных усилительных устройств, что позволит повысить выходную мощность и КПД, улучшить ЭМС в зоне действия систем связи с многими несущими за счет уменьшения уровней интермодуляционных искажений, сузить рабочие полосы каналов и увеличить их эффективность.

Целью работы является разработка методов аппроксимации и технических устройств, обеспечивающих решение проблемы линеаризации передаточных характеристик нелинейных транзисторных усилительных устройств многоканальных систем связи со многими несущими.

В соответствии с поставленной целью при работе над диссертацией:

• рассмотрены методы анализа и компьютерного расчета выходного спектра и ИМИ радиоусилителей мощности со многими несущими (нелинейных динамических систем типа «черный ящик»);

• предложен численный метод анализа нелинейных радиоусилителей мощности со многими несущими, основанный на моделировании и аппроксимации их передаточных характеристик интерполяционными полиномами (рядами) и специальными функциями;

• предложен и экспериментально подтвержден метод и устройство линеаризации передаточных характеристик транзисторных радиоусилителей мощности радиопередающих устройств систем связи;

• разработаны широкополосные пассивные устройства и узлы управления корректоров передаточных характеристик усилительных трактов.

Методы исследования. При проведении исследований в диссертационной работе использованы: теория передачи информации, спектральный анализ нелинейных динамических систем со многими несущими, аппарат функций комплексного переменного, дифференциальные и интегральные преобразования, математическая статистика, методы компьютерного моделирования и аппроксимации передаточных характеристик.

Научная новизна диссертации заключается в следующем:

• рассмотрены методы анализа и расчета комбинационного спектра и ИМИ транзисторных радиоусилителей мощности со многими несущими (нелинейных динамических систем типа «черный ящик»);

• предложен метод анализа нелинейных транзисторных радиоусилителей мощности со многими несущими, основанный на моделировании и аппроксимации их передаточных характеристик интерполяционными полиномами (рядами) и специальными функциями;

• разработан метод и устройство линеаризации передаточных характеристик транзисторных радиоусилителей мощности передающих устройств систем связи;

• разработаны широкополосные пассивные устройства и электронные узлы управления для корректоров передаточных характеристик.

Практическая ценность работы заключается: в разработке линейных транзисторных радиоусилителей мощности со многими несущимипрограмм по компьютерному анализу и расчету спектра и составляющих ИМИ на выходе транзисторных радиоусилителей мощностикорректоров передаточных характеристик транзисторных радиоусилителей мощности с широкополосными направленными ответвителями, электронными схемами аттенюаторов и фазовращателейэкспериментальной установки для исследования параметров и характеристик широкополосных транзисторных радиоусилителей мощности передатчиков систем связи.

Основные научные положения, выносимые на защиту:

• методы анализа и расчета комбинационного спектра и ИМИ транзисторных радиоусилителей мощности со многими несущими (нелинейных динамических систем типа «черный ящик»);

• компьютерный метод анализа нелинейных транзисторных радиоусилителей мощности со многими несущими, основанный на моделировании и аппроксимации передаточных характеристик интерполяционными полиномами (рядами) и специальными функциямиметод обладает большим быстродействием по сравнению с существующими методами;

• метод и устройство линеаризации передаточных характеристик транзисторных радиоусилителей мощности передающих устройств систем связи со многими несущимипредложенный метод повышения линейности радиочастотного тракта позволяет снизить искажения огибающей усиливаемого многочастотного сигнала не менее чем на 25 дБ;

• метод создания широкополосных пассивных устройств и электронных узлов управления для корректоров передаточных характеристикуровень нелинейных искажений на выходе предложенных схем аттенюаторов средней мощности — 45 дБ при глубине их регулировки не менее 40 дБ.

Основные результаты диссертационной работы внедрены на предприятиях в НИИ космических систем — филиал ГКНПЦ имени М. В. Хруничева, в ЦНИИ «Радиосвязь», в институте общей физики им. A.M. Прохорова Российской академии наук, на предприятиях ОАО «Концерн радиостроения «ВЕГА», применены в учебном процессе в Московском государственном институте радиотехники, электроники и автоматики (техническом университете).

Апробация работы. Основные положения и результаты диссертационной работы докладывались и обсуждались с 2004;го по 2010 год на научно-технических конференциях и семинарах в Московском институте радиотехники, электроники и автоматики (техническом университете), на конференциях и заседаниях НТОРЭС им. А. С. Попова, на международных и Всероссийских научно-технических конференциях.

Достоверность основных теоретических положений, выводов и практических результатов подтверждена экспериментально в процессе исследований разработанных линейных транзисторных радиоусилителей мощности систем связи, точностью расчетов полученных с помощью спектрального метода анализа передаточных характеристик, совпадением результатов настоящей работы с данными, полученными другими авторами, а также актами о внедрении и использовании научных и практических результатов диссертации.

Публикации. Результаты проведенных в диссертации исследований опубликованы автором, более чем в 22 работах. Из них наиболее значимые: 3 статьи в ведущих научных журналах и изданиях, выпускаемых в Российской Федерации и рекомендуемых ВАК для публикация основных материалов диссертаций, представляемых на соискание ученой степени кандидата наук- 10 статьей в сборниках трудов международных научно-технических конференций- 9 статьей в научно-технических сборниках издательств МИРЭА и других высших учебных заведениях и научно-исследовательских институтов.

Структура и объем диссертации

Диссертация состоит из введения, четырех глав, заключения, 2 приложений, списка использованных источников информации, включающего 147 наименованийсодержит 176 страниц текста, 57 рисунков и 9 таблиц.

Основные результаты, представленные в диссертации, отражены в следующих положениях.

1. Показана возможность создания транзисторных СВЧ-усилителей мощности с линейными амплитудными характеристиками и малыми величинами АФК, работающих в групповых режимах, разработаны теоретические и практические основы построения таких усилителей.

2.Разработан эффективный спектральный метод анализа нелинейных радиоусилителей мощности со многими несущими, основанный на моделировании и аппроксимации их передаточных характеристик интерполяционными полиномами (рядами) и специальными функциями. Показано, что метод: обладает высокой точностью (0,1.0,2) дБ, перспективностью и в наибольшей степени подходит для исследования влияния комплексной нелинейности СВЧ-устройств на показатели качества систем связиуниверсален и применим для исследований различных передающих устройств с существенной нелинейностью АХ и неравномерностью ФАХ.

3. Разработаны способы уменьшения ИМИ, основанные на введении корректоров линеаризации характеристик СВЧ-устройств. Исходя из полученных результатов, можно сделать вывод, что при применении разработанного корректора и сумматора, степень ослабления несущих составит не 3 дБ, а всего 0,50 дБ, что представляет значительный выигрыш.

4. Доказано теоретически и подтверждено экспериментально, что для реализации раздельного усиления радиосигналов могут быть использованы малогабаритные направленные ответвители, выполненные на полосковых линиях, что позволяет в два-три раза повысить выходную мощность.

5. Разработан пакет программ, предназначенный для исследования СВЧ-устройств с комплексной нелинейностью в многосигнальном режиме. При этом исследуемые устройства могут иметь значительную величину АФК (К9 > 4.5 град/дБ). Пакет программ позволяет производить все виды экспериментальной и сервисной обработки данных.

УСЛОВНЫЕ ОБОЗНАЧЕНИЯ.

N— количество сигналов на входе нелинейного устройства.

Рвх— мощность суммарного сигнала на входе нелинейного СВЧ-УМ.

Рпых — мощность суммарного сигнала на выходе нелинейного СВЧ-УМ.

— Кам/фм — коэффициент АМУФМ-преобразования, град/дБ.

G (p) — обобщенная комплексная передаточная характеристика.

Рс— мощность одного полезного сигнала на входе нелинейного СВЧ-УМ.

Рим и— мощность одного продукта ИМИ на выходе нелинейного СВЧ-УМ.

М (КЬ К2,., K, v) — комплексная амплитуда полезного сигнала и ИМИ.

Jk — функция Бесселя порядка к bs = bas + bms — комплексные коэффициенты аппроксимации вх. нас— мощность режима насыщения на входе нелинейного устройства мгновенная амплитуда /о — несущая частота i, j, s — номера комбинационных составляющих h"(.) — ядра Вольтерра п-го порядка (L,-(f)} — система ортонормированных функций фо — начальная фаза несущего колебания.

Uc (t) и UJf) — амплитуды синфазной и квадратурной составляющих щ — коэффициенты ряда Тейлора.

ЗАКЛЮЧЕНИЕ

.

Показать весь текст

Список литературы

  1. Управление радиочастотным спектром и электромагнитная совместимость радиосистем. Учебное пособие / Под ред. д.т.н., проф. М. А. Быховского. М.: Эко-Трендз, 2006. — 376 с.
  2. Концепция развития в России до 2010 года сетей сухопутной подвижной радиосвязи общего пользования (в части сотовых, радиально-зоновых и радиальных сетей), одобренная решением ГКЭС России от 23 февраля 1994 г. Вестник связи, № 4, 1994 г. С. 6 49.
  3. .М., Черкас JI.A., Задедюрин Е. В., Вавуникян Ю. М., Бачило JI.C. Методы нелинейных функционалов в теории нелинейных цепей. /Под ред. Б. М. Богдановича. — М.: Радио и связь, 1990.
  4. И.В. Метод определения компонент тока при воздействии на нелинейную систему суммы синусоидальных напряжений. Сб. научн. Тр. ЦНИИС МС, 1948. С. 69−91.
  5. В.И. Радиотехнические цепи и сигналы. Компьютеризированный курс: Учебное пособие. М.: ФОРУМ: ИНФРА-М, 2005. 432 с.
  6. В.И. Линеаризация характеристик мощных транзисторных усилителей систем подвижной связи. Диссертация на соискание ученой степени доктора технических наук (защищена 18.01.2007 г.).
  7. В.Т. Динамика нелинейных систем: Некоторые задачи устойчивости и колебаний 2-е изд. Вуз. книга, 2009. — 182с.
  8. И.В. Теория автоматического управления: Нелинейные и оптимальные системы. Издательство: ПИТЕР, 2006. 272с.
  9. Ю.Смирнов А. А. Принципы построения инфокоммуникационных систем для обработки и передачи параллельных данных. Диссертация на соисканиеIученой степени доктора технических наук (защищена 15.06.2005).
  10. П.Ван Трис Г. Л. Функциональные методы анализа нелинейного поведения систем фазовой автоподстройки частоты. IEEE (ТИИЭР), т.52, № 8, 1964 г.
  11. Подходы и принципы эволюции к системам подвижной связи IMT-2000/FPLMTS. Т.2. Справочник по подвижной наземной связи (включая беспроводный доступ), составленный Сектором радиосвязи МСЭ 28 февраля 1997 г. 156 с.
  12. JT. Е. Системы связи с шумоподобными сигналами. — М.: Радио и связь, 1985. 368 с.
  13. А.Д. Основы технического проектирования систем связи // 1998 г. 1ч- 2 ч.
  14. Справочник по спутниковой связи и вещанию. Многостанционный доступ и методы разделения сигналов. Под ред. Л. Я. Кантора // М.: Радио и связь. 1997 г. 528с.
  15. С.В. Искажения и помехи в многоканальных системах радиосвязи с ЧМ // Связь. 1976 г. 256 с.
  16. В.И., Петров Б. К., Сыноров В. Ф. и др. Проектирование и технология производства мощных СВЧ транзисторов. М.: Радио и связь, 1989. С. 148.
  17. В.М., Сандалов А. И. Усиление двух близких по частоте сигналов в многорезонаторном клистроне // Радиотехника и электроника. 1986 г. № 5. с. 968.
  18. В.З. О нелинейном усилении суммы трех гармонических колебаний // Радиотехника. — 1975 г. — № 10, т. 30. С. 53 — 59.
  19. Sunde E.D. Intermodulation distortion in multicarrier FM System // IEEE, Part 2, International Convertation Record. 1965r. March 22−26. p 130−146.
  20. Ю.А. Функциональные ряды в теории нелинейных систем /М.: Наука. 1976 г.
  21. В.И., Петров Б. К., Сыноров В. Ф. и др. Проектирование и технология производства мощных СВЧ транзисторов. М.: Радио и связь, 1989. С. 148.
  22. Э.К. Результаты экспериментального исследования эффекта подавления в ЛБВ 2-х гармонических сигналов // Электронная техника. 1973 г. № 4. с. 32 34.
  23. В.А. Ряды Вольтерра и их применение к анализу прохождения узкополосных сигналов // В кн. Лекции по СВЧ электронике. Сар. ун-т.1983. с150.
  24. Ю.А. Анализ и расчет нелинейных систем функциональных степенных рядов // 1992 г. 188 с.
  25. Н.Н. О корректности расчета многосигнальных характеристик методом квазистационарной амплитуды. // Радиотехника и электроника. 1980 г. т. 25. № 11. С. 2472 2474.
  26. Н.Г. Некоторые результаты экспериментального исследования работы ЛБВ в режиме одновременного усиления 2-х сигналов // Электронная техника. 1970 г. № 3. с. 141 144.
  27. Е. Свойства выходного сигнала систем, описываемых рядами Вольтера при подаче на вход гармонических колебаний и гауссова шума // ТИИЭР. 1971 г, т.59. № 12. с. 56 82.
  28. Л.Г. Математическая обработка и оформление результатов экспериментов. Под ред. А. В. Матвеева // М.:Изд. МГУ. 1977 г. 112 с.
  29. Ю.А., Мокрушин JI.A. Квазистационарные методы исследований нелинейных цепей. /Измерительная техника. 1993. № 5. С. 61−65.
  30. И.Е. О способах измерения нелинейности амплитудных характеристик радиотехнических устройств // ТИИЭР, 1989 г., т.1, 93−96.
  31. A.M. Энергетические характеристики ФМ и сигналов на выходе устройства с комплексной нелинейностью // Радиотехника. 1971 г. т.29. с 26 33.
  32. Т.М., Комаров Н. В. Программа анализа квазистационарным методом прохождения многочастотного сигнала через усилитель СВЧ, заданный одночастотными характеристиками. Электроника СВЧ. 1989 г. Вып. 7. С. 74 — 76.
  33. Н.И. К вопросу о модели амплитудно-фазовой конверсии. // Радиотехника. 1985 г. — № 10, т. 34. — С. 39−40.
  34. И.Х. К обоснованию квазистатического метода расчета амплитудно-фазовой конверсии // Радиотехника. 1978 г. № 8. С. 32−38.
  35. Ю.Л. Интермодуляционные искажения в радиоприемных и передающих СВЧ-полупроводниковых устройствах. /Изв. Вузов. Радиотехника. 1983 г., т.26, № 10, с. 28−38.
  36. Н. Кибернетика / Пер. с англ. /Под реД. Г. Н. Поварова. — М.: Советское радио, 1968. 286 с.
  37. С.М. О нахождении продуктов преобразования суммы ряда гармонических сигналов четырехполюсником с комплексной нелинейностью. Радиотехника и электроника. 1975 г. № 1, т. 30. С. 21- 28.
  38. Krauss Н., Bostian С., Raab F. Solid State Radio Engineering, John Wiley and Sons, 1980 r. P. 364−369.
  39. Fuenzalida F.C., Shimbo O. Time domain analysis of intermodulation effects caused by nonlinear amplifiers. COMSAT Technical Review, 1973 г., vol.3, № l, p 89−141.
  40. B.B. Прохождение нескольких нормальных случайных сигналов через устройство с 1 комплексной нелинейностью. // Изд. Вузов. Радиотехника. 1980 г. № 4. С.82−88.
  41. Е.Ю. Линеаризация характеристик СВЧ-усилителей для систем радиосвязи с многостанционным доступом. Диссертация на соискание ученой степени кандидата технических наук (научный руководитель к.т.н., доц. Нефедов В.И.- защищена 25.11.2002 г.).
  42. В.Г. Методы и устройства повышении линейности радиочастотных трактов передатчиков. Диссертация на соискание ученой степени кандидата технических наук (защищена 20.12.2006).
  43. В.И. Транзисторные радиопередатчики. — М.: Энергия, 1976. 448 с.
  44. Д. Цифровая спутниковая связь — М.: /Пер. с англ. Под ред. Маркова B.B. М.: Связь. 1989. 412 с. I
  45. Nefedov V.I., Samokhina E.Y. The in crease of the efficiency of^ communication system RF-amplifiers. DSPA '2003, 5-th International Conference: Digital Signal Processing And Its Applications. Moscow. Russia, v. II. 2003. Proceedings 2, p. 241 — 242.
  46. В.И., Касымов А. Ш. Многочастотный режим в нелинейных СВЧ-устройствах. Материалы Международной научно-практической конференции «Фундаментальные проблемы радиоэлектронного приборостроения». INTERMATIC-2002. — М.: МИРЭА, 2002. — с. 117−121.
  47. А. А. М. Frequency-independent and frequency-dependent nonlinear models of TWT amplifiers // IEEE Trans. Commun. N. 1997. V. 29, P. 17 151 720. Haykin S. Adaptive Filter Theory, NJ: Prentice-Hall, 1986.
  48. С. Я., Родионова Ю. М. Моделирование динамических систем. Ярославль: Верх.-Волж. кн. изд-во, 1984. С. 84−89.
  49. Справочник по транзисторам малой, средней и большой мощности. — М.: Радио и связь, 1989 г., 426 с.
  50. Устройства сложения и распределения мощностей высокочастотных колебаний. Под ред. З. И. Моделя. М.: Советское радио, 1980. 232 с.
  51. Г. В., Толстой А. И. Линейные балансные СВЧ усилители. М.: Радио и связь, 1983. 334 с.
  52. А.С., Нефедов В. И., Велик Ю. Д. и др. Метрология и электрорадиоизмерения в телекоммуникационных системах. /Под ред. профессора Нефедова В. И. Учебник (изд. 2-е). М.: Высшая школа, 2005 г. 599 с.
  53. В.И., Хахин В. И., Федорова Е. В. и др. Метрология и электрорадиоизмерения в телекоммуникационных системах. /Под ред. профессора Нефедова В. И. Учебник. М.: Высшая школа, 2001 г. 599 с.
  54. В.И. Преобразования сигналов в системах связи. // 5-ая международная научно-техническая конференция, доклад. «Цифровая обработка сигналов и ее применение». Москва. 12−14 марта 2002 г.
  55. Высокочастотные полупроводниковые усилители с обратной связью. Инженерные методы расчета. Под ред. А. И. Борисова, А. В. Кривошейкина. — М.: Радио и связь, 1982. 387 с.
  56. А.А., Ретивых А. Е. Расчет межкаскадной корректирующей цепи полосового усилителя мощности //Тр. Третьего Международного симпозиума «Конверсия науки международному сообществу». — Томск: ТГУ, 1999. С. 70−72.
  57. A.M., Ильина Е. М., Манькин И. А. /Нелинейные явления в СВЧ приборах с длительным взаимодействием/. —М.: Советское радио. 1985.256 с.
  58. В.М., Кудашов В. Н. Метод определения спектра в устройствах с АФК. Радиотехника. 1976. т. 31. № 4. С. 10−17.
  59. В.Н., Меркутов А. С. Метод и алгоритм спектрального анализа входных нелинейных устройств систем беспроводной связи. «Информационные технологии» № 10, 2005. С. 2−6.
  60. И.И., Эрман Л., Грейам И. В. Анализ нелинейных систем при воздействии нескольких входных сигналов. ТИИЭР. 1974 г. Т. 62, № 8. с. 56−92.
  61. О.М., Гришина Л. Н. О расчете выходного спектра нелинейного усилителя с использованием ЭВМ. /Труды МЭИ. 1978 г. № 434. С.54−61.
  62. В.И. Анализ спектральных соотношений в усилителях мощности. Всесоюзн. конф. «Проблемы теории электронных систем». М, 1978 г. С.56−61.
  63. В.И., Касымов А. Ш. Методы повышения эффективности цифровых систем связи. //5-ая международная научно-техническая конференция, тезисы докладов. «Цифровая обработка сигналов и ее применение». Москва. 12−14 марта 2003 г.
  64. В.И. Спектральные методы исследования нелинейных СВЧ-устройств. // 5-ая международная научно-техническая конференция, доклад. «Цифровая обработка сигналов и ее применение». Москва. 12−14 марта 2002 г.
  65. Е.В., Нефедов В. И., Хахин В. И., Власюк Ю. А. Исследование характеристик нелинейных СВЧ-усилителей. Наукоемкие технологии, 2005, т. 6, № 10, с. 21−23.
  66. В.И. Исследование переходных процессов в усилителях мощности. Радиотехника и электроника. 1979, № 4. С. 341−345.
  67. Wheatley С. Self-synchronizing a CDMA cellular network. Technical Feature. Microwaves & RF, July 1999. P. 112−119.
  68. В.И. Современные системы подвижной радиосвязи. Учебное пособие. Москва, МИРЭА. 2004 г. 112 с.
  69. В.И. Основы радиоэлектроники и связи. Учебник. М.: Высшая школа, 2003 г.
  70. В.И., Куртев Н. Д. Радиотехника. Учебник (Высшее образование). Москва, МИРЭА, 1997 г. 296 с.
  71. В.И. Линейные СВЧ-усилители мощности для систем подвижной связи. Наукоемкие технологии, 2004, т. 5, № 12, с. 27−30.
  72. В.И., Самохина Е. В., Барский Д. Р. Ослабление продуктов ИМИ в нелинейных СВЧ-усилителях. Сб. трудов 53-й научно-технической конференции МИРЭА. Москва, 2004 г. Ч. 3. С. 47−51.
  73. В.И., Куртев Н. Д., Битюков В. К., Хахин В. И. Малогабаритный преобразователь напряжения для питания автономных тепловизионных систем серии АТП. Москва, МИРЭА. Сб. науч. тр. «Тепловидение», 1998 г.
  74. .И., Иванов М. А. Функциональный метод исследования нелинейных радиотехнических систем. Радиотехника. 1980 г. № 4, с. 13−24.
  75. Жиганова Елена Александровна. Разработка и исследование методов анализа и автоматической компенсации интермодуляционных колебаний в усилителях мощности ЧМ сигналов: Дис.. канд. техн. наук: 05.12.04: Владимир, 2003.
  76. А.А., Текшев В. Б. Расчёт динамического диапазона многокаскадного СВЧ-устройства. Радио-техника, 1981. Т. 36. № 8. С. 8890.
  77. А. Курушин, В. Недера. Усилители мощности с высокой линейностью для базовых станций беспроводной связи. Журнал Chip News № 7 2002 г.
  78. Э.М., Шенягин В. П., Битюков В. К., Нефедов В. И. Методы формирования колоколообразных импульсов. IV Международная конференция: научно-техническая конференция. Космонавтика. Радиоэлектроника. Геоинформатика. Рязань. 9−10.10.03. С 207−208.
  79. В.И. СВЧ полупроводниковые передатчики // Москва, «Радио и связь», 1981 г. 400 с.
  80. Амплитудно-фазовая конверсия. Г. М. Крылов и др. /Под ред. Г. М. Крылова. М.: Связь. 1979. 256 с.
  81. Zavosh F., Runton D., Thron С. Digital Predistortion Linearizes CDMA LDMOS Amps. Design Feature. Microwaves & RF, p. 32−35, March 2000.
  82. Heutmaker M., Welch J. and Wu E. Using Digital Modulation to Measure and Model RF Amplifier Distortion, Applied Microwaves & Wireless, March/April 1997, p. 34.
  83. Kenney J.S., Leke A. Design Considerations For Milticarrier CDMA Base Station Power Amplifiers. Technical Feature. Microwaves & RF, March 1999.
  84. П.А., Битюков B.K., Нефедов В. И., Барский Д. Р. Повышение эффективности СВЧ-усилителей систем связи. Наукоемкие технологии, 2005, т. 6, № 10, с. 27−29.
  85. В.И., Битюков В. К., Замуруев С. Н., Козлов Е. Ю., Медведев С. В. Алгоритм цифровой обработки тепловых изображений. Москва, 2-ая Международная конференция «Цифровая обработка сигналов и ее применения», т. II, 1999 г.
  86. Hewlett Packard, Application Note 1303, Spectrum Analyzer Measurements and Noise, 2002.
  87. В.И., Козлов Е. Ю., Лавренчук И. В. Оценка надежности работы мощных усилительных модулей. Сборник научных трудов «Радиоэлектроника и связь», МИРЭА, Москва, 2001. / Под ред. В. И. Нефедова. С. 62 70.
  88. В.И. Методы реализации усилительных СВЧ-модулей со сложением мощностей. 6-я Международная конференция и выставка «Цифровая обработка сигналов и ее применение». Т. II с 170−175. Москва, 2004.
  89. А.А. Нелинейные искажения в мощной широкополосной усилительной ступени с автоматической регулировкой потребляемого тока. — Известия вузов. Сер. Радиоэлектроника, 2001, № 11, с. 71−77.
  90. Г. Распределения, комплексные переменные и преобразования Фурье: Пер. с англ. /Под ред B.C. Владимирова. М.: Мир, 1998.
  91. В.Д., Потапов Ю. В., Курушин А. А. Проектирование СВЧ-устройств с помощью Microwave Office.- М.: Солон Пресс, 2003.
  92. Г., Корн Т. Справочник по математике для научных работников и инженеров: Пер. с англ. /Под ред. И. Г. Арамано-вича. -М.: Наука, 1992. 364 с.
  93. Н.А., Козырев В. Б. Способы линеаризации амплитудной характеристики усилителей мощности. Радиотехника. 2003. № 12. С. 55 -62.
  94. В.В. Теория и практические методы резервирования радиоэлектронной аппаратуры. — М.: Энергия, 1997.
  95. С. И. Численное моделирование прохождения многочастотного сигнала через полосовые СВЧ-усилители мощности. Радиотехника. № 2, 2003 г. С. 51−54.
  96. М.В., Родионов А. Н. Модель усиления многочастотных сигналов в виде дискретного ряда Вольтерра // Изд. Вузов. Радиоэлектроника. 1988 г. № 10. с. 37.
  97. . Цифровая связь. Теоретические основы и практическое применение. Второе издание, исправленное. — М.: Издательский дом «Вильяме», 2004. 1104 с.
  98. Д.С., Громов Д. В., Петров Г. В., Толстой А. И., Березиков С. А. Линейные усилители СВЧ диапазона на биполярных и полевых транзисторах //Зарубежная радиоэлектроника. № 1, 1977. С. 99−126.
  99. В.И. Сравнение квазистационарного и динамического методов анализа нелинейных искажений в усилителях. //Радиотехника. -1984. -№ 8. С. 35−37.
  100. В.И. Основы радиоэлектроники и связи. Учебник (изд. 3-е). — М.: Высшая школа, 2005 г.
  101. А.Ш. Квазистатический метод исследования характеристических функций // Сборник трудов «Радиоэлектроника и связь» № 1, МИРЭА, Москва, 2001 г, под редакцией Нефёдова В.И.
  102. В.М. Справочник. Биполярные транзисторы средней и большой мощности сверхвысокочастотные и их зарубежные аналоги. Том 1−4, М.: КУбК-а, 1997 г.
  103. Е.А. Нелинейные характеристики электрических устройств: Методы расчета: /Учебное пособие/. М.: УМК МПС России. 2000 г. 239 с.
  104. А.А., Текшев В. Б. Расчет динамического диапазона многокаскадного СВЧ-устройства. Радиотехника, 1981. Т. 36. № 8. С. 88−90.
  105. В.Н. Прохождение нескольких ФМ-сигналов через устройство с комплексной нелинейностью. Радиотехника. 1973 г., т. 28. № 28. С. 24−26.
  106. Н.Д., Дамгов В. Н. Анализ нелинейных радиоцепей на основе метода комплексной амплитуды // Радиотехника и электроника. 1993 г. Т. 38. № 3. С. 481.
  107. В.И., Белик Ю. Д., Чешев A.M. и др. Современные системы подвижной радиосвязи (рекомендованное учебное пособие). Москва, МИРЭА, 2004 г.
  108. А.А. Влияние корректора амплитудной характеристики на интермодуляционные искажения полосового усилителя мощности // Известия Томского политехнического университета. 2003. — № 5. — С. 85−88.
  109. А.Г., Фалько А. И., Банкет B.JI. Помехоустойчивость и эффективность систем передачи информации Под ред. А. Г. Зюко // М.: Радио и связь. 1985 г. 272 с.
  110. И.Х. К обоснованию квазистатического метода расчета амплитудно-фазовой конверсии // Радиотехника. — 1978 г. № 8. С. 32—38.
  111. Д.С., Барский Д. Р. Повышение эффективности систем связи. Наукоемкие технологии, 12, 2008. С. 25−29.
  112. Д.С., Барский Д. Р., Нефедов В. И., Самохина Е. В. Ошибки передачи битовых потоков в цифровых системах подвижной связи. — Наукоемкие технологии, 2005, т. 6, № 10. С. 24−26.
  113. В. И. Белявский Д.С., Барский Д. Р., Исследование характеристик нелинейных радиоусилителей. Наукоемкие технологии, 2005, т. 6, № 10. С. 21−23.
  114. Д.С. Линеаризация усилителей мощности на основе уравнений Урысона. Москва, МИРЭА. Сб. трудов 55-ой научно-технической конференции. Часть 2. Физ.-мат. науки. Технические науки. 2006. С. 35−38.
  115. В.И., Самохина Е. В., Барский Д. Р., Белявский Д. С. Исследование нелинейных усилителей с использованием рядов Вольтерра. Доклады научно-практической конференции Инфо-2008. Сочи. С.151−156.
  116. Д. С. Барский Д.Р., Попов Е. А., Захаров Ю.О., Дрижанов
  117. A.В., Пугачев О. И., Железнова С. Е., Представление нелинейных усилительных трактов с помощью функциональных рядов. Материалы VII Международной научно-технической конференции, Intermatic 2009. 7 — 11 декабря 2009 г. С. 112−117.
  118. Д.Р., Белявский Д. С., Нефедов В. И., Карпов М. А. Анализ комбинационного спектра на выходе нелинейных динамических систем. Intermatic 2009. Материалы VII Международной научно-технической конференции, 7−11 декабря 2009 г. С. 128 — 132. I
  119. Д.С., Барский Д. Р. Повышение эффективности систем связи Материалы VII Международной научно-технической конференции, Intermatic 2009. 7- 11 декабря 2009 г. С. 277−279.
  120. Д.Р., Белявский Д. С., Гуров П. Н., Матюхин А.А., Нефедов
  121. B.И., Самохина Е. В. Линеаризация характеристик СВЧ-усилителеймощности на основе обратимых моделей. Москва, МИРЭА. Сборник трудов 55-ой научно-технической конференции. Часть 2. Физико-математические науки. Технические науки. 2006 г. С. 58−63.
  122. В.И., Барский Д. Р., Балагур A.A., Захаров С.А, Самохина Е. В., Белявский Д. С. Нелинейные искажения в СВЧ-усилителях. Москва. 2006 г. Труды 14-ой международной конференции по спиновой электронике и гировекторной электродинамике. С. 261−263.
  123. Belyavsky D.S., Matjuhin А.А., Samokhina E.V., Solomatin N.S., Gurov P.A., Nefedov V.I., Buzylyov F.N. Increase of the linearity of communication system microwaves-amplifiers. DSPA-07. Moscow, Russia. P. 598−599.
Заполнить форму текущей работой